Хуудас

Search This Blog

Tuesday, December 27, 2016

Шашны үнэн төрх

Эх үүсвэр: http://www.old.bolor.info/new-…/38-new-books/89-zeitgeist-13
НАР.....
Манай эриний өмнөх 10 мянган жилийн тэртээгээс хүн Нарыг шүтэж түүнд мөргөж байсныг гэрчлэх хад чулуун дээр бичиж сийлж үлдээсэн түүхийн олон баримт байдаг. Яагаад гэдэг нь маш ойлгомжтой юм. Учир нь өглөө бүр нар мандаж гэрэл гэгээ, дулааныг авчирч хүмүүсийг хүйтнээс мөн араатан амьтад дүүрэн байдаг харанхуйгаас аварч байжээ. Иргэншлийн бүр эхэн үед хүмүүс наргүй бол ургац ургахгүй, дэлхий дээрхи амьдрал бүр алга болно гэдгийг сайн ойлгож байжээ. Энэ ойлголт хүн төрөлхтний бүх түүхийн турш Нарыг хамгийн их шүтдэг мөргөлийн зүйл болгосон юм. Үүний зэрэгцээ хүмүүс тэнгэрийн оддын тухай бас мэдэж байлаа. Одод тэнгэртээ яаж шилжин хөдлөж байгааг ажиглан сар бүтэн гарах, сар хиртэх зэрэг ирээдүйд болох зүйлийг урьдчилан хэлж чадаж байв. Ингээд хүмүүс оддыг өөрсддөө тохируулан хэсэг хэсгээр нь багцлаж өнөөдрийн бидний мэдэх ордууд болгон авч үзэх болсон байна.

Saturday, December 24, 2016

Сохор хүүгээс нэрт математикч хүртэл



Эх сурвалж: Ш.Батзориг
Москвагийн нэгэн сургуулийн сурагч хүү хичээлдээ явахаа больжээ. Нэг долоо хоног өнгөрлөө. Дараагийн долоо хоног... Ангийн багшийн зөвлөснөөр хүүхдүүд нэг өдөр гэрт нь очжээ. Лёвагийн ээж нь хаалгаа тайлав. Тэр тун гунигтай царайтай байлаа. Хүүхдүүд мэндлээд асуужээ. “Лёва яагаад хичээлдээ ирэхгүй байгаа юм бэ?”. Ээж нь гунигтай хариулжээ. “ Тэр одоо та нартай хамт сурахгүй. Хагалгаанд орсон. Амжилтгүй болсон. Лёва сохорсон болохоор өөрөө хичээлдээ явах боломжгүй”.
Хүүхдүүд бүгд чимээгүй болов. Гэтэл нэг нь гэнэт хэлжээ.
- Бид ээлжлээд түүнийг сургуульд хүргэж чадна шүү дээ. 
-Бас сургуулиас гэрт нь хүргэж...
- Хичээлээ хийхэд ч туслана
Ээжийнх нь нүдэнд нулимс хурав. Тэр хүүгийнхээ өрөөнд найзуудыг нь дагуулан орлоо. Хормын дараа Лёва өрөөнөөсөө нүдээ боочихсон боолттой, гараараа тэмтэчсээр гарч ирэв. Хүүхдүүд бүгд цочирдон зогсоно. Тэд одоо л найзад нь юу тохиолдсоныг жинхэнээр нь ойлгожээ.
Лёва аярхан мэндлэв.
- Сайн уу
Тэр үед бүгд тал талаас нь шуугилдав.
- Би маргааш чамайг ирж аваад сургуульдаа хамт явъя.
- Би чамд алгебр дээр юу үзсэнийг хэлж өгье.
- Би түүхийн хичээлийг ярьж өгье.
Лёва хэнийг нь сонсохоо мэдэхгүй, толгойгоо дохиод л зогсож байлаа. Ээжийнх хацар дээгүүр нулимс урсана. Хүүхдүүд тэднийхээс яваад төлөвлөгөө зохиожээ. Хэн ямар хичээлийг тайлбарлаж өгч байх, хэн хэдийд гэрт нь очих, хэн нь гадуур хамт зугаалах, хэн нь сургуульд хүргэж өгөх. Хичээлийн үед Лёвагийн ард суудаг хүү багшийн самбарт бичиж байгаа бүхнийг аяархан хэлж өгдөг байв. Лёваг хариулах үед бүгд чимээгүй болно. Түүнийг онц авахад өөрсдийн дүнгээс бөөн баяр болцгооно. Лёва маш сайн суралцаж байлаа. Хамгийн гол нь ангиараа маш их хичээж суралцдаг болжээ. Лёва сургуулиа алтан медальтай төгсөж их сургуульд элсэн орсон байна. Тэнд ч туслах найз нөхөд олон байжээ. Их сургуулиа төгсөөд Лёва суралцахаа больсонгүй. Эцэст нь дэлхийд алдартай математикч, академич Понтрягин гэж дуудуулдаг болжээ.
Лёв Семёнович Понтрягин /1908 -1988/ - ЗХу-ын математикч, 20-р зууны алдарт математикчдын нэг, ЗХУ-ын ШУА-ийн академич. Тэр 14 настайдаа хараагүй болсон. Түүний математикийн шинжлэх ухаанд оруулсан хувь нэмэр, түүний нэрээр нэрлэгдсэн онолууд, ялангуяа удирдлагын ухааны үндсүүдийн хөгжилд одоо ч маш их нөлөө үзүүлсэн хэвээр байна...


Monday, December 12, 2016

Шашин ба Философи, аль нь үнэн бэ?

Томас Аквин.


Августины ачаар Христийн шашны номлол нэг мөр болж, сүмүүдийн хувьд тогтвортой тайван цаг үе хэсэг үргэлжлэв ээ. Тэгтэл 12-р зуун гарах үеэр тэдний сүсэг бишрэлийг ганхуулахуйц нэгэн том хэрэг мандав.
Эртний Грекийн Аристотелийн бичсэн ном судрууд Латин хэлэнд хөрвүүлэгдэн, өрнийн Христийн шашны бүсэд нэвтрэн орж ирэх нь тэр ээ.
Аристотель гэвэл “Бүх эрдмийн эцэг”. Төрөл бүрийн байгалийн үзэгдлүүдийг ширхэгчлэн ажиглаж, онцлогуудыг нь эмхэлж цэгцлээд системтэйгээр ойлгох зорилго бүхий мэдлэгийн салбаруудыг эхлүүлсэн, хүн төрөлхитөний бишрэн бахдах хамгийн хүчирхэг үлэмж оюунтан.
Тухайлбал түүний хийсэн ажлуудын нэгд Логик судлал гэж байх боловч, угаас Аристотелиэс өмнө “логик” нь хараахан онол болон бичигдэж амжилгүй, мэдлэгт хүмүүсийн хооронд там түм ойлгогдох дайны л эд байж. Тэгтэл тэнд Аристотель явж очоод “Ямар өгүүлбэрийг логиктой гэж хэлэх боломжтой вэ?”, “Логикт ямар ямар дүрмүүд байдаг вэ?” гэх зэргийг нямбайлан цэгцэлж, хүүе гэхийн завдалгүй мэдлэгийн салбар болгон системчлэн босгоод тавьчхаж.

Хоёр чонын үлгэр



Индианы Широкий омгийн үлгэр гэнэ ээ. Уншихад 10 секунд зарцуулах хэдий ч амьдралын хичээлийг зааж өгнө гэж энэ хуудсанд бичсэн байна. За ингээд үлгэрээ сонсъё.
Өвгөн Широкий ач хүүдээ амьдралын хичээл зааж байж гэнэ ээ. Тэр хүүдээ: "Тулаан миний дотор болж байна. Энэ бол 2 чонын хоорондох аймшигт тулаан юм.
Нэг нь чөтгөр-Тэр бол уур, атаа, харамсал, шунал, их зан, өөрийгөө өрөвдөх, гэм буруу, худал хуурмаг, гомдол, хуурамч бардамнал, давуугийн ихэрхэл бас эго.
Нөгөөх нь сайн - Тэр бол баяр хөөр, тайван, хайр, найдвар, амарлангуй тогтуун, төлөв даруу зан, сайн санаа, нинжин сэтгэл, бусдыг ойлгох, өгөөмөр уужим, үнэн, нигүүлсэл, итгэл. Яг ийм тулаан чиний дотоодод ч болж байгаа хүү минь, бас бусад бүх хүний дотор ч мөн адил..”
Ач хүү хэсэг бодолхийлснээ, өвөөгөөсөө ингэж асуув: “Аль чоно нь ялах бол?”
Өвгөн Широкий хариуд нь: “Чиний тэжээсэн нь" гэжээ.

Monday, November 28, 2016

Тусгаар тогтнолын тухай тэмдэглэлүүд. Б.Наминчимэд



1.“ЧИНГИС” АРХИНЫ ХООСОН ШИЛ БА
ЭХ ОРОН
Нью-Йорк хотоос Блүүмингтонд түр ирээд байсан Тайванаас гаралтай Ли хэмээх эртэй нэгэн орой жаахан суув. Ли маань хаанаасаа ч авсан юм нэг том шилтэй, хуучин цагийн цэнхэр шошготой “Чингис” архи гаргаж биднийг дайллаа. Бидэнтэй хамт хэдэн төвд залуус байсан юм. Төвдүүд ч бас архи, пиво гаргацгаав. Төвдүүд халамцаад дуулцгаалаа. Төвд дуугаа дуулав, хятад дуу дуулав, тэдэн дотроос нэг дуу яах аргагүй миний мэддэг дуу байх юм. Би хэзээ хятад дуу мэддэг болчихов доо гэж гайхаж суутал харин манай ардын дуу “Арван тавны сар”-ыг хятдаар, ялимгүй хятад аялгуу оруулаад дуулсан нь тэр байж. Хятаддаа энэ дуу бэст гэнэ.
Нэг халамцсан төвд залуу хоосорсон архи, вино, пивоны шилнүүдийг цуглуулаад савхаар цохилуур хийн дуу оруулан дагав. Авьяастай төвд юм аа. Тэр шилнүүд дотор нөгөөх Лигийн гаргасан “Чингис” архины хоосорсон шил ч бас байлаа.
Гэнэт Ли маань том дуугаар ууртай нь аргагүй хашгичин биднийг бүгдийг нь цочоолоо. Дуулж байсан нь дуугаа хураан, ууж байсан нь хундагаа тавин бүгд Лиг гайхан харав. Лигийн нүд ууртай дүрэлзэн, нөгөөх сайн дурын хөгжимчин залуу руу ухасхийж, өмнөө өрсөн шилнүүд дотроос “Чингис” архины хоосорсон шилийг шүүрч аваад элэг зүрхэндээ наагаад, хүүхэд шиг энхрийлж гарлаа. Тоглоогүй, бүр үнэнээсээ шүү. Тэгээд хөлстэй цамцаа тайлаад түүндээ нөгөө хоосон шилээ нандигнан боож тэврээд гарч одов.
Түүний энэ сонин үйлдлийг бид бүгд гайхаж, хачирхаад л өнгөрсөн. Харин хэдэн өдрийн дараа түүнийг Нью-Иорк руугаа буцах үед тэр орой яагаад тийм хачин ааш гаргасныг нь лавлавал “Би Монгол хүн” гэж билээ.

Friday, November 25, 2016

Корона шар айрагны эзэн төрөлх тосгоныхоо иргэдийг саятан болгожээ

Испаний жижигхэн, ядуу Серезалес дел Кондадо тосгоны 80 иргэн бүгд нэг өдөр саятан боллоо. Corona шар айрагны үйлдвэрийн эзэн уг тосгоны хүн тус бүрт $2 саяыг өвлүүлжээ.
Corona шар айрагны үйлдвэрийг эзэмшигч, Групо Модело компанийн үүсгэн байгуулагч, тэрбумтан Антонино Фернандез өнгөрсөн наймдугаар сард 99 насандаа таалал төгссөн юм. Тэрээр Серезалес дел Кондадо тосгоны 13 хүүхэдтэй ядуу гэр бүлд төржээ. Сургалтын төлбөрөө төлж чадаагүйн улмаас 14 насандаа сургуулиа орхисон Фернандез хожим Мексикт дүрвэж очоод 1949 онд 32 настайдаа Групо Моделог байгуулж байв.
Түүний бий болгосон Corona шар айраг амжилт олж Мексикийн хамгийн алдартай ундаа болсон ба АНУ-ын хоёр дахь хамгийн алдартай импортын шар айраг болсон байна. Нас барсан тэрбумтан төрөлх тосгоноо мартсангүй, $210 саяыг иргэн бүрт нь хувааж бэлэглэхийг захижээ. Ингээд баруун хойд Испанийн нойрмог тосгоны 80 иргэн тус бүр $2.49 саяыг авсан байна.
Тэр бас тосгонд цоо шинэ соёлын төв байгуулахыг захиж, Мексикийн буяны байгууллагад үлдсэн бүх мөнгөө хандивласан гэнэ. Нас барахаасаа өмнө олон жил буяны үйлсэд мөнгө хандивлаж байсан Фернандезийг Испаний эзэн хаан шагнан алдаршуулж байжээ.

Wednesday, November 16, 2016

Google хайлт хийх

Бид бүхэн энэ удаад Google дээр өөрийн хүссэн мэдээллийг минутын хугацаанд олж болох хурдан аргуудаас хуваалцъя.

1. Харьцуулсан үгийн хооронд “OR” ашиглах
Бид заримдаа хайх гэж буй зүйлийнхээ нэрийг тодорхой санахгүй, тэр билүү энэ билүү гэсэн нөхцөлд эсвэл “OR”  гэсэн үгийг дунд нь ашиглавал хайлтын үр дүн сайн байх болно. Жишээ нь: Jeniffer Aniston or Katy Perry г.м.



 2. Холбоо үгийн дунд "~" тэмдэглэгээг ашиглах
Холбоо үгээр хайлт хийхдээ та дунд нь долгионтой чирээ татсан тэмдэглэгээг ашиглаад үзээрэй. Жишээ нь: "healthy~food" гэж хайхад танд эрүүл хооллолтын зарчмууд, хоолны жорууд, эрүүл хооллох аргууд зэрэг өргөн мэдээлэл үзүүлнэ.


Tuesday, November 15, 2016

Зохиолч Паустовский

Л.Өлзийтөгс
“Оросын уран зохиолын сонгодгууд бүгд үхсэн. Одоо ганц л хүн үлдэж дээ. Тэр хүнийг яг одоо харуулъя” гээд өвгөн багш маань цонхоор шагайв. Оюутнууд бид дэрхийн босоод, гүйлдэн очиж цонхоор хартал нэгэн хижээл эр сургуулийн хашаанд хөглөрөх навчийг зөөлөн шүүрдэж зогсов. Хажуугаар нь дайрчих шахаад л, тоохгүй өнгөрдөг “цэвэрлэгч” маань Константин Паустовский байсныг бид ер мэдээгүй...”
Горькийн сургуулийн оюутан байхад нь болсон энэ явдлыг хожим өөрөө өвгөн профессор болчихоод дурсан ярьсан хүн бол Аюурын орос багш.
Өчигдөр нэг сайхан зурагтай өгүүлэл олж үзээд нөхрийнхөө ярьсан дээрх түүхийг гэнэт санасан учир бичвэрийнхээ эхэнд дурдлаа. Харин одоо зурагт анхаарлаа хандуулна уу! Домогт жүжигчин Марлен Дитрих өвдөг дээрээ сөгдөн, өвгөн “цэвэрлэгч”-ид мөргөж буй агшин. Зургийн түүх ийм:
Марлен Дитрих Зөвлөлтөд айлчлан ирэхэд юу үзэх хүсэлтэй байгааг нь асуужээ.
-Кремль үү, Мавзолей юу, Их Театр уу?
-Би Паустовский зохиолчтой уулзахыг л хүсч байна. Өөр хүсэх юм алга. Энэ миний олон жилийн мөрөөдөл...
Намуухан дуугаар хэлсэн энэ хариултыг сонсоод оросууд гайхсандаа таг болжээ. Дэлхийн ОД (“оддын од” гэгддэг байж) Марлен ердөө өвгөн Паустовскийтэй л уулзахыг хүслээ гэж үү?
Ингээд зочинд зориулсан үдэшлэгт Паустовскийг заавал ирүүлэх ёстой болов. Гэтэл өвгөн тэр үед эмнэлгийн орон дээр, бараг л “үхлүүт” хэвтэж байжээ. Түүнд урилга гардуулахаар очтол эмч “Босч болохгүй, бүр хөдөлгөж ч болохгүй шүү!” гэж хатуу анхааруулав гэнэ. Гэвч төлөөлөгчид элдэв арга хэрэглэн, яаж ийгээд аваачихыг л хүслээ. Гэвч өвгөн өөрөө ч зөвшөөрсөнгүй. Шаардаж, сүрдүүлж, элдэв юм болсны эцэст “Улсынхаа нэрийг бодоод ганц л босчих” хэмээн хичээнгүйлэн гуйв...
Танхим нам гүм боллоо. Өвгөн зохиолч удаан алхсаар тайз руу гарч очив. Гэтэл аугаа Хэмингуэй, алдар суут Ремаркийн амраг явсан, дэлхийд цуутай, ОД бүсгүй өвгөнийг угтан гүйж очоод шууд өвдөг дээрээ сөгдөх нь тэр ээ! Чингээд өвгөн зохиолчийн гарыг хайрлан үнсч, хацартаа наагаад уйлжээ.
Бүсгүй тэгэхэд бариу даашинзтай байв. Ийн сөгдөж суухад хувцас нь үнэхээр тохирохгүй, эзэндээ эвгүй, хэцүү байгаа нь илт атал ер тоосонгүй. Оёдол нь энд тэндээсээ ханзраа л байлгүй, даашинзных нь чулуун шигтгээнүүд чимээ гарган унаж, тал тал тийшээ үсчиж эхлэхийг бүгд сонсч, харжээ. Танхим дүүрэн үзэгч хэрхэхээ мэдэхгүй таг болж, амаа ангайн, хэсэг чив чимээгүй суув. Ингээд гэнэт нэг нь сэхээ авч ухасхийн өндийхөд, бусад нь дагаж босоод нижигнэтэл алга ташлаа.
Паустовскийг буцаж суусны дараа Марлен Дитрих ийн ярьжээ. “Олон жилийн өмнө би нэгэн түүврээс “Цахилгаан” (“Телеграмм”) гэдэг өгүүллэгийг санаандгүй олж уншсан юм. Тэгээд л энэ зохиолыг бичсэн хүний гарыг үнсэх сэн гэж олон жил хүссэн дээ. Хүсэл минь биеллээ, одоо би жаргалтай байна...” (эх сурвалж: Евгения Эвоян)
Константин Паустовскийн цөөнгүй өгүүллэг соц үед монгол хэлээр орчуулагдсан. Залуу уншигчид сонирхвол номын сангаас олж уншина биз ээ. Тухайн үедээ манай зарим зохиолчид ихээхэн нөлөөлсөн нь мэдрэгддэг л юм. Сталины үед гадуурхагдан амьдарч, Горькийн сургуулийн хашааны цэвэрлэгч явсан ч “сахалт” удирдагчийг үгүй болсноос хойш алдаршиж, тэр л сургуульдаа багшлан, семинар удирдах болсон тэрээр дээрх явдлаас хойш нэн удалгүй бурханы оронд оджээ. Паустовский шударга, үнэнч сайхан сэтгэлтэй хүн учир Зөвлөлт засгийн үед гадуурхагдсан авьяаслаг зохиолчдыг хэнээс ч юунаас ч айлгүй өмөөрч, хамгаалан ил захидал бичдэг, олны хайртай уран бүтээлч байсан гэнэ билээ.
Харин Марлен Дитрих тухайн цагийнхаа гоо үзэсгэлэнг тодорхойлогч байв. Гэхдээ түүнээс илүү хөөрхөн, илүү гоо бүсгүй өчнөөн байсан биз ээ. Гэвч тэдний дундаас өөрийг нь ялгаруулж, бусдаас ОНЦГОЙ гэдгийг мэдрүүлж, ГАРГАЖ ИРСЭН зүйл бол Марлены УХААН л байж дээ. Яасан сайхан мөрөөдөлтэй, дэврүүн, гэрэлтэй бүсгүй байгаа вэ!
Дээрх түүхийг уншмагцаа “Тайзан дээр цацран унаж буй үнэт чулуун шигтгээнүүд, тэр шигтгээнүүдийн эзэн үзэсгэлэнт бүсгүй, тэр гоо бүсгүйг өмнөө сөхрүүлсэн хатингар өвгөн гурвын хэн нь (аль нь) илүү гэрэлтэж харагдсан бол доо, тэгэхэд?” гэж догдлон бодлоо.

Tuesday, November 8, 2016

Уулын өвгөд

 Эх сурвалж:
1. Н. Дугарсүрэн, Д. Гүн-Үйлс. “Монгол хаад-түүхэн шаштир, 7-р боть, Хөлөг хаан”
2. Ш. Насанбат. “Монголчуудын түүхэнд үлдээсэн 33 цуут тулалдаан: Монголчууд лалын нууцлаг эзэнт улсыг мөхөөсөн түүх”.

Уулын өвгөдийг няц дарсан нь
Уулын өвгөдийн түүх бүр 11-р зуунаас эхэлнэ. Персийн Медрест буюу нийгэм-шашны сургуульд сурч байсан ухаалаг, авьяаслаг 3 хөвгүүний үерхэлээс үүдэлтэй аж. Бүх хичээлдээ сайн сурч, дотно найзалж байсан Абу Али Хасан, Омар Ибн Аль Хаяам, Хассан Ибн Саббах нар хэзээ нэгэн цагт алдартай хүмүүс болно гэдэгтээ баттай итгэдэг байжээ. тиймээс хэн нь түрүүлж амжилт олсон нь бусаддаа туслахаар болжээ. Нэг л өдөр Абу Али Хассан Селжүкийн султан Меликийн дотны хүн болж, Низам аль Мулык буюу “Улсын эмх журмыг үндэслэгч” гэж нэрлэгдэн тэргүүн сайд болсон байв. Низам гэх нэртэй болсон Абу Али Хассан найзууддаа амласнаа мартсангүй, хайсаар олж уулзан хааны ордонд өндөр алба хаах санал тавив.

Saturday, October 29, 2016

ФИЗИК ба МОНГОЛЧУУДЫН БИЛГЭДЭЛТ СЭТГЭЛГЭЭ

Б.Номинчимэд /зохиолч, сэтгүүлч/
 БИДНИЙ ХАРЖ БУЙ ЕРТӨНЦ "ӨЧҮҮХЭН"...
"... Материаллаг физик оршихуй бол ер оршихуйн ердөө 4-хэн (5) хувь нь гэдгийг өнөө физикийн шинжлэх ухааны судалгаа батлаад байгаа. Өөрөөр хэлбэл хүмүүс бидний хардаг, хүртэн мэдэрдэг, мэддэг бүхэн бол ердөө мөсөн уулын оройгоос ч жаахан зүйл байх нь. Түүний цаана байгаа 96 (95) хувь нь буюу үнэмлэхүй хэсэг нь одоо та бидний үл мэддэг, үл мэдэрдэг далд нууц ертөнц байх нь..."
Эйнштэйний нэрэмжит олон улсын шагналт, Шинжлэх Ухааны Гавьяат Зүтгэлтэн, математик, физикийн шинжлэх ухааны доктор, профессор О.Лхагва гуай ийн ярьж байна. Хэн нэгэн лам, бөө, шидтэн биш шүү. Олон улсад хүлээн зөвшөөрөгдсөн физикч эрдэмтний үг.
Махан нүдтэй, цусан зүрхтэй хүмүүн бид зөвхөн барьж үзсэнээ л бодитой гэж үздэг нь худгийн амсараар харагдаж буй жаахаан хэмжээгээр хөх тэнгэрийг төсөөлдөг худгийн өчүүхэн мэлхий лугаа адил... Өөрөөр хэлбэл ертөнц бол бидний нүднээ үзэгдэж буй төдий биш, харин ч тэр бүхэн бол илбэ зэрэглээ, үзэгдэл төдий байх нь. Харамсалтай нь бид ихэнхдээ тэр үзэгдэлд автаж, хууртан төөрөлдөг, тэгээд ахуй явдлаа тэр хуурмаг ойлголтдоо захируулан зохиодог нь эцэстээ бидний амьдралыг эмгэнэлд хөтлөх. Энэ нь өнөөгийн хүн төрөлхтний нийтлэг зовлон бэрхшээлийн учир шалтгаан болж буй мэт.
Бидний шашин шүтлэг, итгэл бишрэл, илбэ шид, зөн ёр, далд ертөнц, парапсихологи, бясалгал, тэнгэр бурхан, чөтгөр шулам, хараал жатга, ерөөл хүсэл гээд буй бүхэн, өөрөөр хэлбэл бодит оршихуйн бус зүйлийг илэрхийлдэг бүхэн энэ бидэнд мэдрэгддэггүй 96 (95) хувьд хамаарах нь. (Гэхдээ хамаарах нь гэж буйгаас биш тэд бүгдээрээ 96 (95) хувь гэсэн үг биш болохыг анхаарна уу?) Энэ нь тэрхүү мэдэгдэхгүй байгаа 96 (95) хувиас өчүүхэн хэсгийг нь мэдэрсэн, ямар нэгэн хэмжээгээр холбоо тогтоосны үр дүн буй за. Мэдээж хэрэг мухар сүсэг, элдвийн хийрхэл, гажуудал, солиорол ч бас энэ мэтийг сүүдэр мэт дагадаг. Гэхдээ тэдгээрт хязгаар бий. Сайн муу нийлж байж сав дүүрдэг орчлон.
Харин бид нэг бол материалист үзэлд хөтлөгдөн, материаллаг ахуйд хэт шүтэх, эсвэл танин мэдэхүйгээс хол ангидаар зүгээр л оюуны мэлмийгээ тас аниад, мухар сүсэгт мунхран туйлшрах нь туйлийн.
.
УЧИР ХОЛБООГҮЙ ЮМ ГЭЖ ҮГҮЙ...
"...Хүний цуснаас жаахаан аваад, алс хол, дэлхийн нөгөө бөөрөн дээр авааччихаад, өнөөх цусны эзнийг нь уурлуулж, бухимдуулах, баярлуулах, өвтгөн зовоох мэтээр хүчээр нөлөөлөхөд алс холд байгаа цусны эсийнх нь бүтцэд яг адилхан үр дүн өгч байгаа нь батлагдсан гэх мэт олон жишээ дурдаж болно... Одоо тэр Японы нэг эрдэмтэн усанд үг шивнээд бүтцийг нь өөрчлөөд байгаа туршилт бол анхан шатны, наад захын зүйл шүү дээ. Тэгэхээр биднийг тойроод асар олон, зүйрлүүлж хэлбэл энэ олон сая гар утасны сүлжээ дуудлагууд шиг үй олон мэдээллүүд, маш нарийн эрэмбийн тоо томшгүй үелзлэл, долгионууд хором тутамд далай мэт давалгаалж байдаг байх нь. Тэр бүхэн шууд мэдэгддэхгүй ч үр нөлөө нь хувь заяаг ч өөрчлөх хэмжээний асар хүчтэй... Товчхондоо учир холбоогүй алив юмс, үзэгдэл гэж үгүй"
Физикч, доктор О.Лхагва гуай цааш нь ийн ярив. Буддын ухаанаар бол учир шалтгаанаар шүтэн барилдсан гэсэнтэй их айлсах ч байхаа магадгүй.
Монголчууд үснийхээ сэв, авсан хумсаа хаа газар хаядаггүй, үсний сэвээ хийдэг тусгай ууттай, түүнээ цуглуулж байгаад домын үг хэлж цэвэрхэн газар булах, эсвэл атар салхинд хийсгэдэг байсан. Ялангуяа хүүхдийн онгон сэвлэг даахийг онцгойлон эрхэмлэнэ. Нөгөө талаар, хүнд хараал жатга хийхэд тэр хүний нэгхэн ширхэг үс байхад л хангалттай байдаг байна. Орчин цагийн судалгаагаар хүний үс, хумс эзнийхээ генийн бүх мэдээлэл, шинж байдлыг агуулдгыг тогтоосон.
Өнөөдөр ч энд тэндхийн хараал жатгачдад өрсөлдөгч болон муу санасан хүнийхээ хийморийг гутаах, устган дарж авахын тулд төрсөн жил, сар, мэнгийг нь хэлж, заримдаа эдэлж хэрэглэж байсан ямар нэгэн юмыг барьж очдогийг олон хүн мэднэ.
Монголын их хаад төрсөн он, сар, жилээ нууцалдаг, бунхан шарилаа тас нуудаг нь элдэв гаднын бодит болон бусад дом, хар жатга, муу үйлээс хамгаалдаг байсантай холбоотой байх магадлал маш өндөр. Эцэг дээдсийн шарил, булшинд үр хойчийнх нь, харин эзэн хаадын онго, бунханд ард түмнийх нь хувь заяаны учиг нууц, код байдаг гэж үздэг. Тиймээс л дээдсийн шүтээн онгон бүхий газраа нүүдэлчин ард түмэн амь тавин хамгаалж ирсэн арвин түүх намтар бий билээ.
Өнөөдөр ч бүрэн мартагдаж арилаагүй нэгэн заншил нь Эцгийн газрыг (оршуулсан газар) онцгойлон үзэх явдал юм. Түүнийг олон шинж байдлаар сонгох, хөндөхгүй байх, хөдөөлүүлэх үед элдэв маргаан зөрөлдөөн гаргахгүйг ихэд эрхэмлэдэг.
Бид "Амны билгээс, ашдын билэг" гэдэг. Ямагт сайн сайхан ерөөл билэг хэлж, аашилж, сайныг үйлдэж, санаж явдаг хүний заяа буян тэгш, царай зүс нь хүртэл өөр байх. "Санаа сайхан бол заяа сайн", "Сайн явах санааных" гэх мэт... Үхрийн махыг үнхэлцэгт нь багтаах лугаа адил энэ мэт хоёр гуравхан үгэнд их өргөн дэлгэр утга бий.
Хараал, муу үг хэлэхийг өвгөд маань ихэд цээрлэдэг байж. "Муу үгийн шид нь гүйцсэн амьтан" гэлцдэг. Энэ нь муу үг хэлсээр байгаад тэр нь хүчжиж, хараал болох хэмжээнд хүрснийг хэлнэ. Тэгээд "хүн" гээгүй "амьтан" гэсэн нь тэр бол эрхэм сайхан хүний зэргээс аль хэдийнэ доош унаад амьтанлаг болж хувирсан гэдгийг давхар илтгэнэ. Тэр бүү хэл "Өлсөж байна, цангаж байна" гэж хэлэхэд хүртэл муу амлалаа хэмээн уурсан загнадаг. "Би юм идмээр байна, хоол унд идэлгүй төдий удлаа" гэх мэтээр хэлүүлж сургадаг байв.
Муу үг хэлэхийн үүтгэл нь сэтгэл, санаанд буй.
Сэтгэл санаагаа ариутган зассанаар хувь заяа чинь тэгширнэ. Энэ нь Буддын шашны сэтгэлийг онохуйтай буюу сэтгэлээр үйлдэх нүгэл нь бие, хэлээр үйлдэх нүглээс илүү гэдэгтэй яв цав нийлнэ. Элдэв хар хор, жөтөө атаархал, өширхөл хонзогнол, гомдол зүхлийг цээрлэж, эргэж өөрт төдийгүй нийтэд гай түйтгэр болно гэж итгэж ирсэн байдаг. "Муу санаа биеийг отно", "Санаа муут яван хатна, сарьсан багваахай наранд хатна", "Хүн хэлсэнд өөрт" гэх мэтээр үүнээ илэрхийлжээ.
Уулзалдаж золгохдоо хүртэл ихэд билгэшээдэг. Ер нь монголчуудын хуучин уламжлалд үйл, үг бүхэндээ билгэдэл утгыг ямар нэгэн хэмжээгээр шингээсэн байх нь бий. Өглөө босоод цай сүүнийхээ дээжийг өргөх, гэрийн эзэндээ дээжлэн аягалхаас эхлээд, айлд орохдоо хутгаа буулгах, хаана, хэрхэн суухаас эхлээд өдөр тутмын үйлдэл бүхэнд тийм билгэдэлт утга бий. Өвс ургамал, уул хад, гол ус, од гаригсийг шинжин тайлахдаа билгэдэлт сэтгэлгээ нь илүү тод илэрнэ. Тэгээд сэтгэлгээнийхээ онцлог, чиг хандлага, учир ухааныг зүйр, хэлц үгсдээ нэн тодорхой шингээж үлдээсэн байх. Энэ мэтээр монголчуудын билгэдэл зүйг нэг бүрчлэн тайлж үзвэл хэдэн арван боть болмоор.
Ер нь Монголчууд бид билгэдэлт сэтгэлгээтэй ард түмэн. Энэ шинж бол бусад үндэстнээс ялгаруулдаг монгол үндэстний онцгой шинж. "Монгол хүн амны бэлгээр, хятад хүн гарын бэлээр" гэсэн үг ч бий. Монголчуудын энэхүү билгэдэлт сэтгэлгээний үндэс нь учир холбоогүй юмс, үзэгдэл гэж энэ хөрстийн амьдралд үгүй хэмээх танин мэдэхүй юм. Энэ нь физикч доктор О.Лхагва гуайн өгүүлж буй өнөөгийн физикийн шинжлэх ухааны судалгаа туршилтаар буюу аргаар хүрсэн түвшинг бид тэртээ цагт билгэ ухаанаар танин мэдэж, ахуй амьдралдаа хэрэглэн, зан заншилдаа шингээж ирсэн юм биш үү гэсэн бодол эрхгүй төрүүлнэ.
.
ЕРТӨНЦ ГАНЦ АМЬТАЙ...
"...Физикч эрдэмтдийн судалгаагаар ЕРТӨНЦ ГАНЦ АМЬТАЙ болох нь батлагдлаа. Өөрөөр хэлбэл ертөнцийн бүх зүйл, үзэгдэл юмс саланги тусдаа оршин буй мэт, тусдаа амьтай мэт, тусдаа өөрийн хууль зүйгээр өрнөж, хөгжиж мөхдөг мэт боловч өөр хоорондоо маш нарийн бөгөөт салшгүй бат бэх сэжим, сүлжээ, учир шалтгаанаар холбогдсон, нэгийг нь нөгөөгөөс ангид авч үзэх, шинжлэн судлахын аргагүй зүйл болох нь тодорхой боллоо... ". Физикч, доктор О.Лхагва гуай цааш нь ийн үргэлжлүүлэн ярьж байна.
Монголчууд бидний билгэдэл зүйг бүхэл саваар нь нэгтгэн зангидвал ердөө л ЕРТӨНЦ ГАНЦ АМЬТАЙ гэсэн үзэл санаа гарна.
Ялангуяа байгал дэлхий, орчлон ертөнцтэйгээ амьд бат шүтэлцээтэй амьдарч, өөрсдийгөө түүний өчүүхэн эд эс гэдгээ төдийгүй үйлдэл, явдал бүхнээ ертөнц оршихуйн хэсэг гэсэн итгэл, бишрэл бидний билгэдэл зүйгээс тод илэрч байдаг. Монголчууд байгалийг хэзээ ч өөрсдөөсөө ангид хүнийчилж үздэггүй. Хамгийн наад зах нь ус голд хир буртаг оруулдаггүй, зүлэг ногоонд хөө тортог суулгадаггүй, уул хайрханы зулайд морь хардаггүйгээс эхлээд үй олон билгэдэгт зан үйл бий. Газар дэлхийгээ сүйтгэн эзэмших, баялгийг нь тонон цөлбөхийг ихэр цээрлэж ирсэн. Энэ нь монгол хүн Би-гээ хэзээ ч ертөнцийн төв гэж үзэж байсангүйгээр улам нотлогдоно. Би-гээ эрхэмлэсэн хүнийг "Би-гээ ихэд, бэтгээ цатгаланд" гэх мэтээр буруутган үзэж байв. Бичил ахуйн хүрээнд "Айл хүний амь нэг, саахалт хүний санаа нэг" гэх мэтээр илэрч, "Хувиа бодож, довоо шарлуулах" бол хамгийн адгийн явдал хэмээн жигшдэг байж. Монгол хүмүүн нь Төрийн хэрэг, түмний үйлд бие сэтгэлээ хатамжлан зүтгэх нь хүний эрхэм үүрэг гэсэн итгэл үнэмшил нэн өндөр байсан билээ.
Харин өнөөдөр баруунаас эхлэн түгэж дэлхий нийтийн хэв ёс болоод буй "Би-гээ эрхэмлэх", "Ертөнцийн төв бол Би" гэсэн БИ ТӨВТ сэтгэлгээ бидний дунд хүчээ авсан. Сүүлийн жилүүдэд бидэнд тулган хүлээлгэсэн энэ мэт үзэл нь монгол үндэстний язгуур мөн чанарт харшилж, монгол хүний дотоод ертөнцийг ихэд эвдэн нурааж байгаа үзэгдлийг бид тодоос тод харж байна. Бачуу гутал өмсөөд цардмал замаар хол явж үл чадахын адил харийн бачуу үзэлд дөрлөгдөөд бид хэрхэн хөгжих билээ. Алив үндэстэнд өөрийн язгуур мөн чанарт нь таарч тохирсон хөгжлийн зам л чухам зөв буй за.
"Хүний дээл өмссөн хүний мөр татуу,
Хүний морь унасан хүний алцаа татуу" гэсэн хууч үг бий. Бяцхаан тайлбарлахад энэ нь хүний дээл өмсөж, хүний морь унасан хүн цаанаасаа л нэг хумигдмал, өөрийнхөөрөө байж чаддаггүй, наалдацгүй гэсэн санаа. Бид харийн үзэл онол дунд мөр ч, алцаа ч татуу явнам биш үү... Хэр хол явах...???
.
ТЭНГЭРИЙН ХУУЛИЙГ ГАЗАРТ...
"...Эндээс нэг зүйл онцгойлон хэлэхэд түгээмэл ертөнцийн хууль хэмээн нэгэн хүчирхэг аугаа их хууль - зүй тогтлын дор орчлонгийн бүх зүйл өөр өөрийн хуулиа зохируулан оршин байдаг байх нь.
Бидний өвөг дээдсийн аугаа хүчирхэг байсны нууц бол энэхүү түгээмэл ертөнцийн хуулийг гүн гүнзгий ухааран танин мэдэж, зөвхөн танин мэдээд зогсохгүй төрийн бодлогын амин сүнс, хүний оршин амьдрахын суурь хууль болгон буулгаж чадсанд л байж. Чухам суу ухаан гэж түүнийг хэлэх буй за. Гегель "Монголчууд төрт ёсыг хүн төрөлхтөнд анхлан зааж сургасан юм" гэж зүгээр нэг хэлээгүй..." Физикч, доктор О.Лхагва гуай ийн ярьж байна.
Монголчуудаас өмнө хүн төрөлхтөнд төр байгаагүй хэрэг үү хэмээн эргэлзэх, эсэргүүцэх нь элбэг. Гэвч энд төрийн шинж чанарын тухай ярьж буй хэрэг гэдгийг ойлгоосой. Өөрөөр хэлбэл төр ямар мөн чанартай байх ёстой вэ гэдгийг Монголчууд анхлан үлгэрлэсэн гэсэн үг. Юлуй Чуцайн хөшөөнд ч ийм утгатай мөр буй. "Умардад Чингис хаан төрийг жинхэнэ утгаар нь цогцлоосон юм" гэж... Судлаачид "Их Засаг" хуулийн амин сүнс нь чухам юу вэ гэдгийг өнөө болтол онохгүй хол төөрөлддөгийн сэжүүр бол баримт материал хомсдоо биш, чухам бусад зохиомол хууль эрхзүйн жишгээр, цонхоор хараад буйтай холбоотой байж мэдэх...
Мөн "Яагаад Монголчууд гэж...?" асуух нь элбэг... Нүүдэлчдэд илүү өгөгдөл заяаж. Мухар итгэл биш шүү. Нүүдэлчид өдөр тутам задгай тэнгэрийн дор, байгалийн түмэн үзэгдэл, оршихуйн дунд сэлгэн, зохицон аж төрж байсан нь байгалийн хуулийг илүүтэй ухан ойлгох, мэдрэх боломж олгосон буй за. Бидний сэтгэх арга маяг нь өргөн хүрээнд, их ерөнхий агуулгаар нь, саваар нь хардаг, цаг хугацаа, орон зайд баригддаггүй онцлогтой. Заримдаа ахуйн хэт явцуу хүрээнд буухаараа нөхцөл байдалд хурдан дасамхай, тэр хэрээрээ уйдамхай, явцуу дүрэм журмыг үл тоох гэх мэт сөрөг байдлаар илрэх нь ч бий. Ер нүүдэлч хийгээд суурин иргэншлийн сэтгэлгээний хэв маяг ихээхэн ялгаатай.
Харин бид энэ чадвар, мэдлэгээ яагаад ихээр алдаж асгачихав гэдэг бол тусдаа асуудал, олон тайлбар, түүхэн учир шалтгаануудыг тоочих хэрэг гарна.
Ертөнц арга билгийн тэнцвэр дээр зүй зохистой оршино гэх. Зүйрлүүлж хэлбэл жинлүүрийн хоёр тавганд арга, билгэ хоёр тэнцвэртэй байж хөгжил зүй ёсны байх нь. Харин өнөө арга нь хэтийдэж, билгэ нь гээгдсэнээр хүн төрөлхтний хөгжилд ноцтой гажуудал үүссэн. Бид гараг дэлхийгээ хязгааргүй хэрэглээнд шунасан шуналын гинжээр гинжилсэн. Зөвхөн өнөөдөр машин унаад, өндөр тансаг байшинд суугаад, гар утас барьж, ТВ үзэж, элдэв амттан тамшаалж буйгаа хөгжиж байна, сайхан байна гэвэл зүүдэлж буй хэрэг болно. Хүн төрөлхтөн ойрын ирээдүйд ус, хүнсээ хангахад ноцтой бэрхшээлтэй учрах ба тэсэн гарахад бэрх байгал экологийн үй олон гамшигт сүйрлүүд хүлээж буйг судлаачид сануулсаар байгаа. Энэ мэт асуудал хурц болох хэрээр улс үндэстнүүд, хүчнүүдийн хоорондох эрх ашгийн тэмцэл улам шатлан өргөжих болно.
Гарц бол зөвхөн ухаарал. "Би" бол ертөнцийн төв биш, ертөнц нэг амьтай, нэгдмэл хуультай, тэр нэгдмэл хуулийг эцэс төгсгөлгүй өрсөлдөөнөөр бус зөвхөн хайр, шударга ёсоор сахин хэрэгжүүлэх бололцоотой, бид бүгд нэг завин дээр сууж яваа, учир холбоогүй юм нэгээхэн ч үгүй, бидэнд танин мэдээгүй зүйл асар их (95-96 хувь) ...... Энэ ухаарлын гол гогцоог харин физикийн шинжлэх ухаан дэлгэн буй мэт. Харин монголчууд бидний хувьд түүхэн санамж, өв соёл руугаа өнгийхөд л хангалттай.
Физикийн ШУ-ны ололт нээлтүүдийн талаарх доктор О.Лхагва гуайн яриаг өөрийн хэмжээнд ийн ухав. Оносон эсэхийг мэргэн олон хэлнэ буй за...
...

Saturday, October 15, 2016

Монгол луу

 Онход. Т. Мандир.
"Тэнгэрлэг бөө" сэтгүүл.
2014. дугаар 18-аас

Манай үлгэр, домогт лууны тухай хангалттай дүрсэлсэн байдаг. Окторгуйгаар нисч, галаар тургидаг, сайндаа сайн, муудаа ч муу, тийм нэг лууг үлгэр домгоос бид сонсч өссөн билээ. Сүм хийдийн тааз, багана, сэнтий бурхдын хөргөөс тэр лууг харж, бага насны уран төсөөллөөр баяжуулан сэтгэж ирсэн нь үнэн. Өмнөд хөрш нангиад нарын үлгэр, ном, өв соёлд луу илүү баялаг дүрслэгддэг болохоор луу гэдэг амьтан хуася, ( ихэвчлэн хятад гэж нэрлэж заншсан ч уг нь хуася. ся гэсэн нь илүү зөв юм билээ!) нарын шүтээн гэж ойлгодог ч болсон талтай. Гэхдээ жаахан өргөн өнцгөөр харвал лууг домоглож хөрөглөөгүй үндэстэн дэлхийд тун ховор шиг байгаа юм. Өнөөдөр хүүхэд төдийгүй үүхэд ч мэдэх болсон янз бүрийн улс орны хүүхэлдэйн киноноос ч, уран сэтгэмжийн киноноос ч хоёр хөлтэйгээс дөрөв, зургаан хөлтэй, тэр байтугай ярьдаг лууг ч өч төчнөөнөөр бид үзэх болсон нь үндэстэн бүхний үлгэр домог амилсны гэрч билээ.

Saturday, October 8, 2016

Монголын түүхийн он тоолол 1600 он хүртэл

Түүхийн он тоолол VII-YIII зуун – Монгол гэдэг нэр тvvхэнд тэмдэглэгдэх болсон. YIII-IX зуун -Гурван голын монголчуудын дунд аймгуудын холбоо байгуулагдан, улмаар улсын шинж төлөв бvхий төрийн нэгдэл бий болж, нэг ёсондоо нийт монголын тvvхэн хөгжлийн төв нь энд шилжин ирсэн байна. 960 – Хятадын Сvн улстай “Монгол улс…зохицож, Судар ном туулав” гэжтvvхэнд тэмдэглэжээ. 907- 1125 -Хятан улс оршин

Friday, September 30, 2016

Ховдыг чөлөөлөх байлдаан



                   1912 оны эхээр Манжийн захиргаанд хэвээр байсан Монголын баруун хязгаарыг чөлөөлөх нь даруй шийдэх асуудал байв. Хятадын хилд ойр учир тэд өмгөөлөх цэрэг ирнэ гэж найдан эсэргүүцэн тэмцэхэд бэлтгэж
байлаа. Түүгээр ч барахгүй 1912 оны эхээр
Монголын Засгийн газраас илгээсэн гүн Төмөржин, түшмэл Лхагва нарыг баривчлан эрүүдэн шүүж алжээ. Тиймээс тус хязгаарыг түвшитгэн тогтоох цэргийн сайдаар Ж.Дамдинсүрэн, С.Магсаржав нарыг томилон Нийслэл хүрээнээс 500 цэрэгтэй мордуулжээ. Тэд замдаа Улиастайгаар дайран баруун 2 аймгийн 400 гаруй цэргийг авч Ховдын Босго, Хар усны өртөөний орчим очив.
Эртний монгол цэргийн уламжлалыг дагаж цэргээ хороо, анги, аравтаар тэгшлэн засч 10 хүнд галын

Thursday, September 29, 2016

Аюуш гүүш

 Аюуши гүүши нь Түмэдийн Алтан хааны/1507—1582/ үеийн шарын шашны их лам, бурхан шашны номыг монгол хэл дээр орчуулсан том гүүш, монгол хэл бичгийн ухааны эрдэмтэн. Нэр нь аюуш, “гүүш” гэдэг нь хятад хэлний гаралтай “улсын багш” гэсэн үг юм, хожим нь хэлмэрч, орчуулагч гэсэн утгаар хэрэглэгдэх болжээ. Тэрээр бурхан шашны түвд номыг монгол хэл дээр орчуулах явцад, бурханы номын доторхи тэдгээр энэтхэг, түвд хүний нэр, газрын нэр болон бусад тусгай нэр үгийг монгол үсгээр авиачлан тэмдэглэх зорилгоор 1587 онд “Аали гаали үсэг”-ийг зохиосон байна.
Монгол бичгийн хэлний хөгжлийн шинэ үе буюу сонгодог бичгийн хэл буй болоход монгол бичгийн галигийн тогтолцоог боловсруулан зохиосон нь үлэмж нөлөө үзүүлсэн бөгөөд академич Б.Ринчен: “Аюуш гүүшийн галиг үсэг зохиосноос хойш олон ном судар орчуулсан, зохиосон зүйлд тэр галигийг нь хэрэглэсэн цаг нь яг монгол хэлний шинэ үе гэж шинжилсэн үе таарах тул шинэ үеийн эх нь тэр галиг зохиосон оноос тоолж болох магадлал баримтыг нь бид олжээ” /Ш.Чоймаа. Галиг үсэг. хэмээн бичсэн буй
Аюуш гүүшийн галиг үсгийг “Али-гали”-ийн үсэг гэж бас нэрлэх бөгөөд али- эгшиг, гали-гийгүүлэгч гэсэн санскрит үг ажээ. Галиг гэдэг үг маань ч энэхүү гали гэсэн үгтэй гарал нэг буй. Аюуш гүүш санскрит хэлний 16 эгшиг, 34 гийгүүлэгч, төвд хэлний 4 эгшиг, 30 гийгүүлэгч үсгийг монгол бичгээр бичих галигийг зохиохдоо монгол бичгийнхээ авиан зүй, үсэг зүй, санскрит төвд хэлний авианзүйн онцлогийг тун нарийн ажиглан шинжилсэн байдаг. Аюуш гүүшийн галиг үсэг нь гадаад үгийг үгчлэн буулгах (транскрипци), үсэгчлэн буулгах (транслитераци) хоёр зүйлийн галигийг нэгэн хамтад гүйцэтгэж чадах галиг үсгийн тогтолцоо болсон юм. Аливаа үсэг бичиг түүнд хийсэн шинэтгэл хэр зэрэг шинжлэх ухаанч болж вэ? Гэдгийг хожим яаж түгж дэлгэрснээр нь багцаалж болох билээ. Ингэж үзвэл Аюуш гүүшийн галиг үсгийг зохиосон цаг үеэс нь эхлэн төвд, санскрит хэлнээс орчуулсан нэн арвин судар номд нарийн чанд мөрдөн хэрэглэж ирсэн билээ. Одоо бидний хэрэглэж байгаа монгол бичгийн цагаан толгойн гадаад үгийг тэмдэглэдэг П, Ф, Ц, З, К, ЛХ зэрэг үсгүүд бол мөн л Аюуш гүүшийн зохиосон галигаас авчээ. Банзрагч буюу таван сахияан хэмээх судрын доторхи санскрит хэлний тарнийн үгийг али галгийн үсгээр (Аюуш гүүшийн галигаар) анх тэмдэглэсэн гэж академич Ц.Дамдинсүрэн бичжээ.
Монголчуудын дунд “Бардам бандиар банзрагч уншуул, Бардам хүүхнээр панс оёул” гэсэн зүйр үг байдаг. Энэ нь Банзрагч хэмээх судар бол зүгээр төвд үсэг гаргаад уншдаг банди уншиж чадахгүй, тарни унших аргыг мэддэг лам шударга уншиж чадах тарнийн үг элбэгтэй судар болохыг хэлж байгаа билээ. Нууц увдис тэргүүтэнтэй холбоотой тул судрын доторхи тарнийн зүйлийг ер орчуулдаггүй тэр хэвээр нь буулгадаг уламжлалтай билээ.
Ийм учир төвд хэлнээс өдий төдий хөлгөн судар орчуулахдаа түүнд гарах тарнийн зүйлийг али-галийн үсгээр л буулгадаг журамтай байжээ. Аюуш гүүшийн зохиосон галиг үсгийн тогтолцоо нь хожмын хэлний мэргэдийн бүтээлд их нөлөө үзүүлсэн бөгөөд тухайлбал ойрадын Хошууд яст Гөрөөчин отгийн Шангас овогт их бандида Огторгуйн далай буюу Намхайжамцын тод бичгийн галигт их тодорхой харагддаг. 1648 онд тод бичгийг зохиосон ойрдын зая бандид Намхайжамц энэтхэг төвд үсгийг тэмдэглэх тод бичгийн галиг үсэг зохиосон бөгөөд олонхи үсгийн хэлбэр нь Аюуш гүүшийн галиг үсэгтэй яг тохирдог байна. Харин зарим үсгийн хэлбэрийг аль болохоор төвд үсгийн хэлбэрт ойртуулахыг хичээсэн тал ажиглагддаг юм.
Ийнхүү 1587 онд Аюуш гүүш монгол бичгийн галиг үсгийн тогтолцоог буй болгосноор их бага таван ухааны үлэмж арвин ном судрыг төвд хэлнээс монгол хэлэнд саадгүй орчуулах нөхцөлийг бүрдүүлж, монгол бичгийн хэлний хөгжлийн шинэ үеийг эхлүүлжээ. Аюуш гүүшийн галиг үсэг болон хожим манж, хятад хэлний зарим авиаг тэмдэглэх цөөн үсэг нэмсэн монгол үсгийг хэрэглэн гадаадын ямар ч үгийг тэмдэглэх бүрэн боломжтой болжээ.

Thursday, September 22, 2016

Юань Гүрний үеийн хувцас хэрэглэлийн хуулиас

Юань Гүрний үед цэргийн хуяг, дуулга болоод бусад хувцас сэлтийг хуулиар тогтоон журамлан өмсөж байжээ.
Тухайлбал хишигтэн цэрэг:
Цул алтан арьсан дуулга: Захирагч нар Алтан гарьдийн жигүүрт арьсан дуулга /ар талд нь гарьдийн жигүүр 2-ыг үйлдэн алтадна/
Цэгдэг /дээл/: Хөх, улаан хоргойгоор дээр нь эрдэнийн байдалтай цэцгийн хээг цардмалдан нааж үйлдэнэ
Хуяг: Хуягийн мөрөвч, нуруувч, гуявч зэргийг арьс /илэг/-аар хийж барс, арслан хээг үйлдэх ба алтан хуягийг хажийн хээгээр үйлдэнэ.
Хуягийн жийргэвч: Хар хоргойгоор хийх бөгөөд цагаан хоргойгоор хөвөөлөн дотор тал нь эсгий хийж цагаан шоогоор доторлоно.
Үүлт мөрөвч: 4 өнцөгтөө үүлэн хээтэй хар, ногоон, шар зэрэг 5 өнгийн хурмуш сиймхийгээр хийнэ.
Тохойвч: Хоргойгоор үйлдэж ногоон шоогоор доторлож 2 бүч хийнэ. Тохойвч нь гарын бугуйгаас тохой хүртэлх хэсгийг хамгаалах ороолтын үүргийг гүйцэтгэнэ.
Өмд: Мөнгөн бор зүсмийн хоргойгоор хөвөөлж ноцолдож байгаа араатны дүрс хатгамалдан оёж, хооронд нь үүл холилдуулан хийдэг байжээ.
Чингис Хаан болон түүний залгамжлагчдын байгуулсан их гүрний үед төрийн хамгаалалт нь дээд хэмжээнд хөгжсөн бөгөөд зөвхөн шадар, орон байрны төдийгүй, хамгаалалтын бусад олон хэлбэр бий болж, түүний дотор төрийн бэлгэ тэмдэг, онго сахиусуудыг хамгаалах гэх мэтээр хамгаалалт нь улам өргөн утгатай болж, төр улсын сүр хүчийг илэрхийлэх нэгэн үзүүлэлт болсон байна.

Tuesday, September 13, 2016

Их Петр хааны цуутай монгол инженер буюу Бароно /Сердюков/


Ертөнцийн түүхийг улираан үзвэл олон олон монгол хүмүүний гэрэлт үсгээр бичигдсэн ариун мөр зам тодрон тодроод улам ч ихээр гэрэлтэх ажаам. Бидний өвөг дээдэс дэлхийн талыг хурааж, орчлонгийн оргилыг эзэлж, од эрхэсийн хөдөлгөөнийг тандан тогтоож, судар номыг тэрэг, тэргээр нь бүтээжээ. Ерөөс өнгөрсөн цаг үед амьдарсан монголчуудын хийсэн бүтээснийг ул суурьтайгаар сөхөж үзвэл цулдаа цутгасан алтан гулдмай мэт нээлтүүд байсан байна. Харин тэдгээр аугаа их монголчуудын нэг болох түүхэнд нэр алдар нь төдийлөн түгэн дэлгэрч чадаагүй, цаг үе, нийгмийн бурангуй тогтолцооноос болж бүдгэрсэн нэгэн суут хүмүүн байх. Тэрбээр дэлхий дахинд урд өмнө нь хэзээ ч  байгаагүй нүсэр том бүтээн байгуулалтыг усан замын ертөнцөд хийж, умард хөршийн эзэн хаанаас өндөр үнэлгээ авч, бусад мэргэжил нэгтнүүдээ хол орхисон байх юм. Мөн тэрээр хөлөг онгоц зохион бүтээж, эгэл харц ард, олзны ядуу хүүгээс эрхэм дээдэс язгууртны хэргэм зэргийг горилон, Орос орны хувь заяанд үнэлж баршгүй хувь нэмэр оруулжээ. Ай Сердюков...

М.Д.Каратеев. ОРОС БА ТАТААРУУД

Орос нь татаарын эрхшээлд 242 жил байжээ. (1238-1480). Түүний түүхийн энэ үе нь (ялангуяа эхний 150 жил буюу Куликовын тулалдаанд Дмитрий Донский ялалт байгуулж булаан эзлэгчдийн дарлалыг ихээхэн сулруулж, тэд улс орны дотоод хэрэгт бараг оролцохоо больсон үе хүртэл) онцгой хүнд материаллаг гарз хохиролтой, үүнээс өмнө гялалзтал хөгжиж, баруун европын орнуудын соёлыг гүйцэж түрүүлж байсан оросын соёл бүрэн уналтад орсноор тэмдэглэгдсэн байдаг.

Monday, September 12, 2016

Алдарт математикч Шарайн Мянгад

Бичсэн Б.Барс
Дотоодын зөрчлөөс улбаалан Монгол баруун, зүүн болж хуваагдан хоорондоо хэмлэлдэж эцэстээ зүүн Монголын өмнөд хэсэг 1636 онд, хойд хэсэг нь 1691 онд Манжийн эрхшээлд ороод байсан цаг.
Манжийн Энх-Амгалан хаанд халх дөрвөн аймаг дагаар орсны дараа жил буюу 1692 онд одоогийн Өвөрмонголын Шилийн гол аймгийн Урт цагаан хошуунд Шарайд овгийн нэгэн хүү мэндэлжээ.

Багаасаа тооны ухаанд гаргууд байсан түүнийг Мянгат гэх. Тэрээр Манжийн эзэн хааны одон орны товчоонд хар залуугаасаа суралцан эрдмийн ажилд шамдаж эхэлсэн нэгэн.

Халимаг ахан дүүсийг Сибирт цөлөх үед ийм нэгэн гунигт дуу тэр үед зохиогдсон

Декабрь сарын хорин найманд
Дэгтэй халимагуудыг нүүлгэв ээ
Дэгтэй халимагуудыг нүүлгэв чиг
Дээдэстээ залбираад гарав аа
Хүйтэн Сибирийн замдаа
Хөлдөөд хөхрөөд одов оо
Хөөрхий халимагууд минь
Хөөрхий халимагууд минь
Мандаад наран гарлаа
Манийгаа туугаад одлоо
Манийгаа зовоосон
Сталинг Махчин нохой идтүгэй
Хүйтэн Сибирийн замдаа
Хөлдөөд хөхрөөд одов оо
Хөөрхий халимагууд минь
Хөөрхий халимагууд минь

Saturday, September 10, 2016

МАНЖУУДЫН МӨХСӨН ТҮҮХ

Д.Болдбаатар /хятад хэлний орчуулагч/
Миний санахад 15-17 дугаар зууны эхэн хагас хүртэл Монгол угсаатны чамгүй хүчирхэг үе байсан санагддаг. Та бүхэн дорх газрын зурагнаас тухайн үеийн Мин улс ямар бага газар нутагтай байсныг харж байна уу? Зүүн хойд хэсгээр орших зөрчдүүдийг эс тооцвол одоогийн Шинжаан, Сибирь тэр чигээрээ Монгол угсаатны эзэмшилд байсны зэрэгцээ Гүүш хааны угсааныхан Төвдийг бүрэн эрхшээлдээ оруулж, Доголон Төмөрийн угсааны Могулчууд Энэтхэг, Афганистаныг ид эзлэн захирч байсан үе билээ. Харамсалтай нь тухайн үед Чингис Богд шиг цолгорсон удирдагч төрөн гараагүйг яалтай билээ.
Манжууд Нурхач баатрынхаа тугийн дор нэгдэн нягтрахад хүн ам нь дөнгөж долоон зуун мянга хүрэхтэй үгүйтэй байсан гэдэг. Зарим Хятад судлаач бүр 300 мянга орчим хүн байсан ч гэж үздэг юм билээ? Харин тухайн үед цагаан хэрмээс хойш нутаглаж байсан Монголчууд 12 сая орчим хүн байсан гэхээр хүчний харьцааны хувьд Манжуудад ааглуулахаар байгаагүй нь лавтай.
Харин Манж нар өөрсдөө нэгдэн нягтарч Монголчууд цацсан элс шиг тархай бутархай байгаа нь өөрсдийнх нь хувьд хожоотой давуу тал болохыг гярхай ажиглан харжээ. Ямар сайндаа Нурхач баатар нь: «Монголчууд эрчилсэн дээс мэт нэгдмэл хүчтэй болсон цагт тэднийг дийлнэ хэмээн саналтгүй. Гагцхүү тэднийг элсэн ширхэг лүгээ адил тархай бутархай байлгаж чадсан цагт л сая дийлж болмуй.» хэмээн хэлж байх билээ дээ. Энэ төрийн бодлогоо үр ашигтай хэрэгжүүлэхийн Манжийн эрх баригчид нангиадын эртний «Гурван улсын үлгэр» сонгодог романыг яаралтай орчуулуулж хааны угсааны агь ноёдод зааж сургасан нь санамсаргүй хэрэг биш байж таарна. Түүний зэрэгцээ Монголчуудын бичиг соёлоос идэвхитэй суралцаж байсан нь санамсаргүй хэрэг биш.
Тэд нар Монголын хувьд эхлээд Өвөрмонголын том ноёдуудыг өөртөө эд ашгаар татаад, тэдний хүчинд дулдуйдан дээрх арга бодлогоо Халхын ноёдод идэвхитэй хэрэглэж байжээ. Дараа нь Өвөрмонгол, Халхчууд гол цөм нь болсон цэргийн хүчээр өөрсдийн хамгийн том, аюултай өрсөлдөгч болсон Зүүн Гарын хаант улсыг аймаглан устгасныг ч одоо бид мэддэг болжээ.
Манжийн түрүү үеийн хаад уугуул нутаг, уламжлалт соёл, зан суртхаал нь Манж нарын хүчирхэг байх үндэс язгуур гэдгийг ойлгодог байсан болохоор манж үндэстэн суурьшсан нутаг дэвсгэр рүү эхний хоёр зуу орчим жил хятадууд нэвтрэхийг цаазлан хориглодог байсан аж. Монголд ч гэсэн энэ бодлогыг хатуу хэрэгжүүлж байсан нь баярлууштай хэрэг юм. Яваандаа Манжийн төрийн эрхэнд хятад сайд түшмэдүүд олон арваараа гарсан хойно манж хүмүүсийн тухайд хувь хүндээ бол ашигтай гэмээр, харин улс үндэстний ирээдүйн хувь заяанд хор хохиролтой нэгэн бодлогыг хэрэгжүүлж эхлэжээ. Тэр нь юу гэвэл манж хүн болгон хятадын аль нэгэн муж хошуунд очоод суурьшвал ажил хийхгүй байсан ч маш их хэмжээний цалин хангамж хүртэх боломжтой бодлого болой. Зуун сая шахам хүн амтай том гүрэнд хэдэн зуун мянган хүнийг ажил хийлгэхгүй тэжээгээд байхад юугаараа хотойх билээ дээ. Ингэснээр уугуул зүүн хойд орондоо суурьшиж байсан Манж нар олон арван мянгаараа цагаан хэрмийн гүн рүү тэмүүлцгээж, нэг насны хувьд идэх өмсөх, жаргах цэнгэхээр дутаагүй боловч шороон түмэн хятадуудын дунд хэл соёлын онцлогоо алдаж зугуухан уусаж эхлэх нь ч тодорхой бус уу? Манж нар нэлээд хожуу болтол уугуул нутагтаа хятадуудыг нэвтрүүлэхгүй цааз зарлиг нь хүчинтэй хэвээр байсан хэдий ч тэнд оршин суух хүн ам нь улам бүр цөөрсөөр байсан нь давуу тал гэхээсээ илүүтэй манж нарын хүчин чадлыг ноцтой сулруулах үндэс болсон юм. 1870 онд манжийн уугуул нутаг Ляодунгийн хойгт ердөө 400 мянга орчим манж нар үлдсэн бол Чин гүрний шинэ эргэлтийн бодлого хэрэгжсэнээр тэнд 3 сая 500 мянга орчим хятад тариачин Хөбэй, Шаньдун, Шаньсигаас нүүдэллэн оччихоод байжээ. Ердөө 40 гаруй жилийн дараа энэ тоо даруй 18 сая болон өссөн нь Манжийн хаан Хятадад эрх мэдлээ алдсаны дараа буцан очих нутаггүй болсон гэсэн үг юм л даа.
Хятад тариачдыг нүүлгэн шилжүүлэх шинэ эргэлтийн бодлогын уршгаар маш богино хугацаанд 100 гаруй мянган хятад иргэд Монголд ирж суурьшсан боловч азаар 1911 оны хувьсгал, улмаар арван жилийн дараа 1921 оны ардын хувьсгалтай золгож тэр үйл явц тасалдсан хэрэг.
Бага буурай улс үндэстэн нийгмийн халамжинд хэт шүтэх, улс үндэстнийхээ бичиг соёл, ахуй заншлын уламжлалыг орхигдуулан гээх нь ямар хор уршигтай болохыг манж нарын богино хугацаанд үндэстнээрээ мөхсөн түүх бэлхнээ гэрчлэн харуулдаг. Харин Хятад орныг бүхэлд нь зуу гаруй жилийн турш эрхшээн захирч байгаад хөөгдөн гарахдаа анх ямар л соёлтой байсан түүнийгээ буцаан аваад гарч чадсан манай өвөг дээдэс маш ухаантай улс байсан санагддаг юм шүү.

Saturday, August 27, 2016

Үнэгүй тоглоомууд. Free games

1.Mount and Blade With Fire and Sword-SKIDROW үнэгүй татах. /торрентоос/

2.Mount&Blade Warband Viking Conquest Reforged Edition

   үнэгүй татах. /торрентоос, 3 цувралын багц/
Татах:http://fitgirl-repacks.site/mount-blade-warband-viking-conquest-reforged-edition/

 3.Need for speed shift /v 1.02/ үнэгүй татах /торрентоос/
Татах: http://live-rutor.org/torrent/161372/need-for-speed-shift-v-1.02-2009-pc-repack-ot-fenixx/

4.Blue Horizon үнэгүй татах /торрент/
Татах: https://torrent-igruha.net/2176-blue-horizon.html

5.Call Of Juarez үнэгүй татах /торрент/
Татах: https://allbesta.cc/torrent/42115-call-of-juarez-cokrovischa-actekov-2006-s.html

6.Call of Juarez Bound in Blood үнэгүй татах /торрентоос/

Tuesday, August 23, 2016

Үйлийн үрээс зугатах аргагүй

Энэ бол 20-р зууны алдартай зургуудын нэг "Сайган дахь цааз" юм. Өмнөд-Вьетнамын генерал Нгуен Нгок Лоан нь Хойд-Вьетнамын иргэнийг буудаж байгааг энэхүү зургандаа Эдди Адамс хэмээх зурагчин буулгасан юм. Удалгүй зургаа сонинд нийтэлсний дараа энэ генералын амьдрал жинхэнэ там болсон гэдгийг тийм ч олон хүн мэдэхгүй. Хэдэн сарын дараа генерал шархдан,Австрали руу түүнийг эмчлэхээр авч явсан ч эмч нар өнөөх алдарт зурган дээр хүн буудаж байсан нөхөр байна хэмээн мэдэж, түүнийг эмчлэхээс татгалзсан байгаа юм. Ингээд эцэст нь мань эр өрөөсөн хөлөө тайруулжээ. Өмнөд-Вьетнам нь дайнд ялагдсаны дараа мань генерал АНУ-руу оргонол хүсэн татгалзсан хариу авсан ч яаж ийж байгаад тэнд очиж чадсан байна. Америкт очоод Нгуен Нгок Лоан хоолны газар нээсэн ч удалгүй дампууран,түүгээр ч үл барам ханан дээр нь хүмүүс " Чамайг хэн гэдгийг чинь бид мэднэ шүү " хэмээн бичсэн байв. Нгуен Нгок Лоан генералыг нас барсны дараа зурагчин Эдди Адамс : " Энэ генерал хүнийг буугаар алсан бол би түүнийг аппаратаараа алсан юм" гэж ярьжээ.
Үүгээр юу хэлэх гээд байна гэхээр хүн хэдий хүч чадалтай байсан ч цаг нь ирэхээр хийсэн хэргийнхээ үйлийг эдэлдэг. Тэр шийтгэх хэрэгсэл нь юу ч байж болно, микроб, элдэв өвчин, энэ тохиолдолд зургийн аппарат шийтгэжээ.

Орчуулсан. Энхжаргалын Ариунболд.
Эх сурвалж :http://nk.org.ua/obshchestvo/nakazanie-neizbejno-67823